Vhdl ams skriptdela

Filtriraj

Moja nedavna iskanja
Filtriraj po:
Proračun
do
do
do
Vrsta
Znanja
Jeziki
    Delovno mesto
    2,000 vhdl ams skript najdenih del, po cenah EUR

    Using Bambu AMS printer and am looking to have a football field built. I need a field with 2 goal posts and the lines. In bambu slicer i can color blocks so goal is to click lines white then each grass segment and end zones varyong shades of green. File will be printed then placed inside stadium as a finished product Just like attached photo but with the yard lines drawn so they can be "painted" in bambu studio. More details: Football field. Not the stadium just the field. What dimensions do you want for the football field model? Standard dimensions (120 yards x 53.3 yards) What level of detail do you require for the field, such as textures and grass? Basic detail.

    €124 (Avg Bid)
    €124 Povprečna ponudba
    47 ponudb

    Looking for someone to create designs for mining workshop teams. photos attached of jumpers designed last year, wanting same type of designs but for different workshops, [DIGGERS, ANCILLARY, TRUCKS, DRILLS, TYRE BAY, SUPPORT, FAB/WELD SHOP AND AMS] Large designs on rear of jumpers/shirts and small logo for front .

    €23 (Avg Bid)
    €23 Povprečna ponudba
    20 ponudb

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the ...Real-time Video Streaming: The video feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel zoom.v i...

    €56 (Avg Bid)
    €56 Povprečna ponudba
    2 ponudb
    Project VHDL 22 ur left

    Hi ExpertSoul, I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    €234 (Avg Bid)
    €234 Povprečna ponudba
    1 ponudb

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    €147 (Avg Bid)
    €147 Povprečna ponudba
    13 ponudb

    I am looking to integrate two ultrasonic sensors onto a Nexys A7 FPGA board using VHDL. My primary goal is using these sensors for object tracking and distance measurement. Integrate TWO HCSR-04 ultrasonic sensors to an Nexys A7 fpga board so that I can read data from both sensors. I need for the seven-segment display that is on the board to show the distances measured. Using a pushbutton on the board, have the display change to show readings from sensor 1 and when pushed the display shows readings from sensor 2 and vice versa. So basically, the pushbutton toggles which sensor's measurement is displayed on the seven segment display. Also, another push button will be used to toggle back and forth between displaying the distance in centimeters (cm) or inches (in) for both sensor...

    €83 (Avg Bid)
    Nujno
    €83 Povprečna ponudba
    8 ponudb

    I'm seeking a proficient VHDL engineer to assist in the development of digital signal processing on a Basys Board 3, requiring knowledge in digital and analog inputs and outputs. The specifics of the digital signal processing algorithm are unclear at this stage due to omitted information. Key skills and experience needed: - Proficiency with VHDL and Basys Board 3 - Sound understanding of both analog and digital inputs and outputs - Aptitude for problem-solving and working with incomplete details - Prior experience in digital signal processing is advantageous.

    €522 (Avg Bid)
    €522 Povprečna ponudba
    26 ponudb

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right candidate a...

    €510 (Avg Bid)
    €510 Povprečna ponudba
    29 ponudb

    I'm in need of an experienced and creative 3D modeller to design and create a killdozer inspired keychain model as well as a larger, more detailed version. - The keychain should be small, between 2-3 inches full ams in 1 go no assembly. - I'm looking for medium complexity in the larger model, with locking pieces together and minial supports so as no glue needed to assemble - Both designs should be printable with minimal support and feature three colors: silver, yellow and black. There are many publicly available models if you type in killdozer but i need them edited/new one made to be able to mass produce, minimal supports, no super thin wall parts. I dont mind gluing if very minimal if you cant find a way to interlock parts The ideal freelancer for this task sho...

    €87 (Avg Bid)
    €87 Povprečna ponudba
    23 ponudb

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    €86 (Avg Bid)
    €86 Povprečna ponudba
    22 ponudb

    I'm currently working on a robotics mechatronics project and need a PCB designer specializing in KiCad software. You'll primarily be assisting me with: - Designing a PCB to provide a power supply for an existing general-purpose board based on the STM32H7 series. - Designing a PCB to read 4 AMS AS5047B rotary position sensors alongside 4 limit switches - Designing a PCB to control 4 BLDC motors with PWM Channel with Direction pins - Extracting an I2C port from the assembled PCB Your prior experience in these tasks would be a great advantage. A deep understanding of KiCad design software and STM32H7 series microcontrollers is a must for this project. Assist me in making my robotics mechatronics system dream come true!

    €467 (Avg Bid)
    €467 Povprečna ponudba
    46 ponudb

    Hello, I need assistance with implementing DSGVO requirements on my Webflow website. I've already integrated a cookie banner with the Finsweet Cookie (Webflow Template, to set up your cookie banner manually), but cookies are still being set by Calendly, Google, and Dropbox. This was identified through a check with and needs to be resolved. What i need is the skript, that works with the template. I'm looking for a developer experienced in DSGVO-compliant cookie implementations to adjust the code in the backend accordingly. Thank you in advance for your assistance!

    €19 (Avg Bid)
    €19 Povprečna ponudba
    17 ponudb

    I am seeking a proficient electronic engineer with an in-depth understanding of VHDL (high level logic design) it's related to xlinx and vivado

    €25 (Avg Bid)
    €25 Povprečna ponudba
    7 ponudb

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic to HDL ...

    €49 / hr (Avg Bid)
    €49 / hr Povprečna ponudba
    7 ponudb
    Review VHDL Code Končano left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    €453 (Avg Bid)
    €453 Povprečna ponudba
    26 ponudb

    Ich suche nach einem professionellen Sprecher oder einer professionellen Sprecherin, der oder die eine Meditationen MP3 auf Deutsch aufnehmen kann. Ich suche jemanden der Mentaltrainings/Meditationen gemäss Skript als MP3 sprechen kann. Bei diesem Projekt wären es 8 Meditationen mit 10 900 Wörter zum sprechen. - Bitte jemand der bereits Erfahrung darin hat. - Bitte zur Bewerbung eine kurzes Muster/Beispiel der Stimme beilegen. - Bitte angeben wieviel Sie für "Voice Over /" verlangen? Zeitlich hätte ich keinen Stress Die Anforderungen: - Aufnahmequalität: Ich benötige eine Premium-Qualität der MP3, die gut für das Hören über Kopfhörer geeignet ist. Ideale Fähigkeiten und Erfahrung: - Erfahrung in der A...

    €342 (Avg Bid)
    €342 Povprečna ponudba
    11 ponudb

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. And tested using exported bitstream binaries. Note: if ...

    €7738 (Avg Bid)
    €7738 Povprečna ponudba
    8 ponudb

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. Note: if you want to make a bid, please respond with yo...

    €3869 (Avg Bid)
    Pogodba o nerazkritju informacij
    €3869 Povprečna ponudba
    4 ponudb
    Colorize STL Models Končano left

    I’m seeking a talented and experienced freelancer to add colors to STL files for a project I am working on. The project is of the Space Battleship Yamato 2199. I am running a Bambu X1 Carbon with an AMS and would like to print the model in color rather than paint it. **Requirements:** - Proficiency in 3D modeling software capable of editing and colorizing STL files. - A good eye for color schemes and detailed attention to applying colors that enhance the model's aesthetics. **Job Scope:** - Apply a variety of colors to all parts of multiple STL files. The chosen candidate will need to ensure that the applied colors are vibrant, consistent, and accurately reflect my vision for the finished models. **Ideal Skills and Experience:** - Demonstrated experience in STL file ...

    €93 (Avg Bid)
    €93 Povprečna ponudba
    41 ponudb

    ...specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The challenge lies i...

    €52908 (Avg Bid)
    €52908 Povprečna ponudba
    9 ponudb

    Skript for transaction transfer from Stripe to Sevdesk.

    €41 (Avg Bid)
    €41 Povprečna ponudba
    1 ponudb

    ...this project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. If ...

    €14 / hr (Avg Bid)
    €14 / hr Povprečna ponudba
    9 ponudb

    1) ...erforderlich. 2) Anpassung der Shopstartseite mit integrierten Funktionen: a) KI-Bildgenerierung b) Verlinkung zu eigenem Produktangebot mit dazwischengeschalteten Kategorien auf der nächsten Seite c) Standardfunktion zum Hochladen von Bildern der Shopify-App (siehe 3)) 3) Anbindung an die POD-Shopify App: Die KI generierten Bilder müssen für die Shopifykunden automatisch per Skript über eine Hochladeeingabeaufforderung im Frontend (bzw. Backend) der pixels.com-App angebunden werden, damit diese zum Bestellprozess der App automatisch weitergeleitet werden. 4) Nutzung von 1) ohne 2) im Hintergrund damit ich mir die KI-generiereten Bilddateien selbst herunterladen kann und diese als Produktbilder zu den Shopify-Artikeln hochladen kann. Mit freundlichen Gr...

    €2156 (Avg Bid)
    €2156 Povprečna ponudba
    33 ponudb

    ...FPGA-based game similar to a classic crossing road game with a twist. Required Skills: - Proficient in VHDL programming - Experience with FPGA design and implementation - Familiar with character movement logic - Ability to implement a scoring system into FPGA projects Project Requirements: - Design VHDL code specifically for an FPGA target device - Develop code that allows character movement within the game - Create a scoring system to track and display the player's score -able to score to reach a target and gameover point -able to control the game using fpga or keyboard - able to connect via vga Ideal Candidate: - You should have a portfolio demonstrating previous work with FPGAs and VHDL. - Experience in game development or simulation is highly desirable...

    €137 (Avg Bid)
    €137 Povprečna ponudba
    12 ponudb

    ...freelancer to implement an intermediate System on Chip (SoC) module using VHDL for an FPGA-based system. Key Responsibilities: - Develop and simulate VHDL code for an FPGA-based SoC module. - Ensure code meets functionality and performance requirements. - Provide documentation and support for testing and integration. Ideal Skills: - Proficient in VHDL programming. - Experience with FPGA design and implementation. - Familiar with SoC architecture and digital design principles. - Ability to write clean, optimized, and well-documented code. Qualifications: - Previous projects involving VHDL and FPGAs. - Understanding of intermediate SoC modules. - Good problem-solving and communication skills. Project Deliverables: - Working VHDL code as per specifica...

    €151 (Avg Bid)
    €151 Povprečna ponudba
    7 ponudb

    I am looking for an expert in FPGA design who can help create a SmartFusion2 design with specific features. the designer shall be able to - update VHDL design to control other peripherals integrating in the current design - update the SW on the ARM Ideally, the testing function should use predefined test cases. The main focus of the testing system needs to be hardware testing. Therefore, essential skills and experience include knowledge of hardware testing techniques and familiarity with FPGA configuration, power management, and communication protocols.

    €1048 (Avg Bid)
    €1048 Povprečna ponudba
    21 ponudb
    Amazon KDP Profi Končano left

    Du hast viel Erfahrung mit Amazon KDP? Ich habe ein fertiges Skript mit 121510 Zeichen incl. Leerzeichen, ein Bild für das Cover und ein Bild von mit. Was ich brauche: Das richtige Format, Formatierung, Dessing für das Cover, eine ISBN (Eigene?!) Und das einstellen bei Amazon.

    €448 (Avg Bid)
    €448 Povprečna ponudba
    9 ponudb

    I'm looking for an experienced VHDL developer to create a traffic-themed crossing road game for FPGA, with a keen eye for detail and efficiency in design. Key Responsibilities: - Design and implement a VHDL-based FPGA system - Program player movement controls and responsive gameplay - Develop dynamic obstacle generation mechanics Skills Required: - Proficient in VHDL and FPGA programming - Strong understanding of digital design and signal processing - Experience in gaming or simulation projects preferred The successful freelancer must showcase previous FPGA projects, ideally with gaming applications. Please provide code samples or portfolio links with your proposal.

    €134 (Avg Bid)
    €134 Povprečna ponudba
    5 ponudb

    We have an Onlineshop (ecwid) and we need a PHP skript, which will run on a daily base and do the following: -)go through all the customers and check, how many orders the customer already did. -)take the dates of the 1st, 2nd and 3rd Order -)include the order dates to the Newsletter System in pre defined field using the Mailerlite API. Accaptenc Criteria: -) I will see in the newsletter system for each customer the dates for the1st, 2nd and 3rd order. -) The script can run on a daily base to update the new orders. API Documentations: -) Ecwid Onlineshop: -) Mailerlite Newslettersystem: I can not provide the productive API Keys and Login data. Therefore you can

    €42 (Avg Bid)
    €42 Povprečna ponudba
    10 ponudb
    Odoo Project Končano left

    ...entering data from work orders into CIEL. work orders. Reduce errors and delays. Automate all these tasks, while retaining the control and modification points necessary for day-to-day management. In a second phase, we would like to create a business application to improve the monitoring of our operations. A dashboard bringing together various information and functionalities tailored to our business. 6 AMS v1 project 4.1. Main requirement : Automation of BT data entry Ciel Gestion Commerciale Ideally, we would like the project to enable us to automate the main elements of the BT with direct communication communication with CIEL GESTION COMMERCIALE 20.3. We have chosen to reduce the amount of data to be transferred to speed up the development process and rapidly increase to rapidly...

    €2361 (Avg Bid)
    €2361 Povprečna ponudba
    46 ponudb

    ...beneficial. Your role will potentially influence my new software marketing company's market positioning, making it a highly impactful and creative task. My new business name is called AesthSystem. The brand name "AesthSystem" implies a comprehensive marketing system designed for aesthetics clinics. To explain the brand name we should have the following strapline text: "Aesthetics Marketing System (AMS)" This text explains what AesthSystem means and we should also have like a icon in the brand name of some systems or something similar I have registered the domain The completed project I should have the following files for all my company brand and social marketing channels 1, Brand image in different sizes 2. social marketing image sizes. Instag...

    €117 (Avg Bid)
    €117 Povprečna ponudba
    84 ponudb

    I'm seeking a talented individual with a strong background in VHDL and FPGA design, specifically with Altera products, who can successfully implement communication interfaces within my project. The ideal candidate will possess a deep understanding of UART protocol and be capable of integrating it with other interfaces. Requirements: - Proficiency in VHDL programming for FPGA - Experience with Altera FPGA design tools - Successful implementation of UART interfaces - Knowledge in LAN and USB communication The scope of the project includes: - Implementing a low-speed UART interface (up to 115200 bps) - Integrating UART with LAN and USB interfaces on the FPGA The right freelancer will have a strong portfolio demonstrating their expertise in FPGA interface design and commu...

    €488 (Avg Bid)
    €488 Povprečna ponudba
    20 ponudb

    ...4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Documentation outlining de...

    €218 (Avg Bid)
    €218 Povprečna ponudba
    2 ponudb

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a memory device. This project is to expand that to add eMMC support, such as typical 64GB eMMC 5.1 devices available on Digikey/Mouser. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8 bit data bus. Project can be extended to include additional modes / features. Note: if you want to make a bid, please respond with your previous experience with working with eMMC devices.

    €1818 (Avg Bid)
    Pogodba o nerazkritju informacij
    €1818 Povprečna ponudba
    13 ponudb

    ...consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development - Strong understanding of FPGA architecture and programming languages - Proficiency in programming languages such as VHDL or Verilog - Experience in designing and implementing complex FPGA systems - Knowledge of hardware design principles and methodologies Project Requirements: - Develop a Kangaroo Pollard FPGA Bitstream for personal use - Create an operating application with specific features based on my requirements - Integrate the bitstream and operating application to work seamlessly together - Pro...

    €826 (Avg Bid)
    €826 Povprečna ponudba
    13 ponudb

    Erfahrenen Skript Writer, der spannende Skripte über Finanzthemen und Wirtschaft schreiben kann Skripte müssen im gleichen Stil wie die oben verlinkten Videos/Kanäle sein 
 - Skripte werden 12-15 Minuten lang sein - Garantiert 3-4 Skripte pro Woche
 Wir suchen jemanden mit den folgenden Anforderungen: 
 1. Kann Video-Material ergänzend zum Skript beschaffen 
 2. Schnelle Arbeitszeit von 2-3 Tagen 
3. Schnelle Kommunikation
 4. Kenntnisse/Interesse in der Finanznische 
5. Verständnis der YouTube-Bindung und engagiertes STORYTELLING Was du bekommst: 
1. Langfristige Zusammenarbeit mit steigender Bezahlung
 2. Garantierte Aufträge jede Woche 


    €189 (Avg Bid)
    €189 Povprečna ponudba
    14 ponudb

    Erfahrenen Skript Writer, der spannende Skripte über Finanzthemen und Wirtschaft schreiben kann Skripte müssen im gleichen Stil wie die oben verlinkten Videos/Kanäle sein 
 - Skripte werden 12-15 Minuten lang sein - Garantiert 3-4 Skripte pro Woche
 Wir suchen jemanden mit den folgenden Anforderungen: 
 1. Kann Video-Material ergänzend zum Skript beschaffen 
 2. Schnelle Arbeitszeit von 2-3 Tagen 
3. Schnelle Kommunikation
 4. Kenntnisse/Interesse in der Finanznische 
5. Verständnis der YouTube-Bindung und engagiertes STORYTELLING Was du bekommst: 
1. Langfristige Zusammenarbeit mit steigender Bezahlung
 2. Garantierte Aufträge jede Woche 


    €101 (Avg Bid)
    €101 Povprečna ponudba
    13 ponudb

    i am looking for an individual who can do perform the project on Quartus in VHDL formate. We are looking for only experts.

    €161 (Avg Bid)
    €161 Povprečna ponudba
    14 ponudb

    I am looking for a skilled software developer to create a...that connects FPGA cards for my temperature measurement equipment. This role involves designing a program that is compatible with the Windows operating system. To develop the connection effectively, a solid knowledge of VHDL, Spartan 3 boards and C++ programming will be required. The ideal developer should be comfortable working with a basic command line interface. The main focus is on functionality, not graphic aesthetics. To be successful, both the functionality and security of the program must be prioritized. Key essentials for the job include: - Deep knowledge of VHDL - Knowledge of Spartan 3 card. - Know C++ programming. - Experience with command line interfaces. - Ability to ensure security and error checkin...

    €19 (Avg Bid)
    Zagotovljen
    €19
    2 vnosov

    I'm in need of an experienced 3D designer who can create a .3mf file for a Bambu slicer. The end goal is to print this object in vibrant, separate colours using my Bambu lab x1c 3d printer with AMS technology. The requirements include: - Expertise in creating a 3mf file for optimized 3D printing - Ability to divide the design into at least 7 distinct segments, each assigned a unique color so I can select each colour as a distinct object and change in the Bambu slicer -The white background needs to be removed. The level of detail necessary on this project is high, the slicer must look realistic and includes a high level of intricacy in its design. Prior experience in creating such detailed 3D models would be a plus. Successful project execution could potentially lead to fut...

    €107 (Avg Bid)
    €107 Povprečna ponudba
    23 ponudb

    I am looking to hire an individual who really understand this subject. should be able to solve any problems related to this subject. communicate and be able to write good programming and simulation designs.

    €173 (Avg Bid)
    €173 Povprečna ponudba
    20 ponudb

    Design a TImestamp generator from PPS and a NMEA parser in VHDL

    €77 (Avg Bid)
    €77 Povprečna ponudba
    6 ponudb
    Project help Končano left

    I am looking for a programmer to help me with my project. Programming Language: VHDL Timeframe: ASAP Skills and Experience: - Proficiency in VHDL programming language - Experience in developing and implementing VHDL designs - Familiarity with FPGA programming - Strong problem-solving skills and attention to detail - Ability to work efficiently and meet tight deadlines Project Details: - The project involves developing and implementing VHDL designs for a specific application. - The programmer will be responsible for coding, testing, and debugging the designs. - The project needs to be completed as soon as possible, so the ability to work quickly and efficiently is crucial. If you have experience in VHDL programming and are available to start immediat...

    €410 (Avg Bid)
    €410 Povprečna ponudba
    9 ponudb

    Project Title: Logo Design Contest Description: I am looking for a talented designer to create a logo for my brand identity. The logo should have a modern and minimalist style, reflecting the contemporary nature of my business. Skills and Experience: - Proven experience in logo design, with a portfolio showcasing modern and minimalist designs - Strong understanding of brand identit...the ability to create a logo that effectively represents my business - Proficiency in graphic design software and tools - Creativity and attention to detail to ensure the logo stands out and is visually appealing Color Preferences: Logo should be dark blue and gold, as well delivered in white and gold for the dark version of the website website design is attached to the contest. logo should say: AMS ...

    €82 (Avg Bid)
    Izpostavljeno Zagotovljen Zapečateno
    €82
    746 vnosov

    Description: Create a Hardware-Software Codesign version of the k-mean clustering algorithm K-means clustering is a popular data mining algorithm that partitions n samples into k clusters (note: the k-nearest neighbor classifier algorithm used in machine learning can leverage the cluster centers produced by the k-means clustering algori...algorithms have been developed that quickly converge to a local optimum solution. We will consider one of those algorithms in this project. I have provided a C code version of the k-means clustering algorithm, and a Vivado block diagram and memory layout (explained below) that you will use as a starting point. You will need to study the C version and then decide which components to implement as a VHDL module using the BRAM (you also used BRAM in HI...

    €28 - €234
    Zapečateno Pogodba o nerazkritju informacij
    €28 - €234
    3 ponudb

    need to implement neural networks in vhdl. More details will be shared in discussions

    €63 (Avg Bid)
    €63 Povprečna ponudba
    10 ponudb

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    €31266 (Avg Bid)
    €31266 Povprečna ponudba
    15 ponudb

    To create a compelling logo for Account Management Services (AMS) a medical billing company. Logo has AMS and medical billing in it. We specializing in various specialty groups, it's crucial to convey professionalism, trustworthiness, and expertise. Begin by understanding the company's values, mission, and target audience to ensure the logo aligns with its identity. Keep the design simple, focusing on easy recognition and versatility for application on hats, golf shirts, pens, and other materials. Choose colors that evoke trust and reliability, considering their appearance on different backgrounds. Typography should be carefully selected for readability and alignment with the brand personality. Aim for a unique concept that stands out in the medical billing industry...

    €96 (Avg Bid)
    Zagotovljen
    €96
    700 vnosov
    Vhdl code designing Končano left

    I'm looking for a Freelancer to help me find out VHDL code for designing crossy road game. I already have a detailed plan that I'd like to have implemented, so I need someone who can understand what I'm looking for and execute the plan quickly and accurately. This is a micro project ,so I need someone who is willing to help me to get a vhdl designing code for crossy road game. If you think you have the expertise to handle a project like this, please reach out to me and let me know how you can help. Thank you for your time and consideration.

    €31 (Avg Bid)
    €31 Povprečna ponudba
    9 ponudb