Filtriraj

Moja nedavna iskanja
Filtriraj po:
Proračun
do
do
do
Vrsta
Znanja
Jeziki
    Delovno mesto
    3,266 fpga najdenih del, po cenah EUR

    I am looking for a skilled software developer to create a program that connects FPGA cards for my temperature measurement equipment. This role involves designing a program that is compatible with the Windows operating system. To develop the connection effectively, a solid knowledge of VHDL, Spartan 3 boards and C++ programming will be required. The ideal developer should be comfortable working with a basic command line interface. The main focus is on functionality, not graphic aesthetics. To be successful, both the functionality and security of the program must be prioritized. Key essentials for the job include: - Deep knowledge of VHDL - Knowledge of Spartan 3 card. - Know C++ programming. - Experience with command line interfaces. - Ability to ensure security and error checking...

    €19 (Avg Bid)
    Zagotovljen
    €19
    2 vnosov

    I am looking to hire an individual who really understand this subject. should be able to solve any problems related to this subject. communicate and be able to write good programming and simulation designs.

    €171 (Avg Bid)
    €171 Povprečna ponudba
    20 ponudb

    It's gonna be a 40-minute presentation. The points you need to encapsulate: 1. Describe the construction of the external cavity diode laser in detail 2. Specific description of applications of ECDL in many areas 3. Detailed description of the whole process: ECDL--quantum repeater(need detailed description)--converter--Labview--electronics(FPGA) Requirements: Must have an academic background in quantum physics or quantum communications Must be proficient in using labview Must have relevant research experience and provide proof

    €215 (Avg Bid)
    €215 Povprečna ponudba
    11 ponudb
    Fpga basys 3 Končano left

    Hi I have a basys 3 and I want to create sine wave then to apply a FFT (with IP FFT) and the data to be transfered through UART to a serial port in a real time form. Please is it possible this and which will be the cots?. 115200 bauds, 50Hz sine frequency. Cheers, Nikos

    €49 (Avg Bid)
    €49 Povprečna ponudba
    10 ponudb

    We are seeking a highly skilled and experienced Electrical Engineer to join our team. This role focuses on leveraging the Xilinx Vitis framework and extensive FPGA expertise to design, develop, and optimize high-performance systems for our bleeding-edge prototypes. Our prototypes will support dynamic deployment of our software to chipsets of various manufactures. You will be supporting our team in building and designing our prototyping lab where we plan to use Xilinx FPGAs for rapid prototyping various configurations of our software and hardware platforms. The hardware you will be developing will be used in configurations that allow dynamic deployment of various AI/ML models to operate in this new architecture. We have tested two generations successfully and we’re read...

    €23 - €93 / hr
    Lokalno Izpostavljeno Nujno Zapečateno Pogodba o nerazkritju informacij
    €23 - €93 / hr
    3 ponudb

    Digital Design Project-FPGA We are looking for a skilled FPGA designer who is experienced with Intel platforms. The main function of the FPGA design will be UART communication using FPGA SOC. The project needs to be completed within 1 week. Ideal Skills and Experience: - Strong knowledge and experience with Intel FPGA platforms - Expertise in UART communication - Familiarity with digital design principles and methodologies - Ability to meet tight deadlines and deliver high-quality results - Excellent problem-solving and debugging skills

    €96 (Avg Bid)
    €96 Povprečna ponudba
    5 ponudb
    Project help Končano left

    I am looking for a programmer to help me with my project. Programming Language: VHDL Timeframe: ASAP Skills and Experience: - Proficiency in VHDL programming language - Experience in developing and implementing VHDL designs - Familiarity with FPGA programming - Strong problem-solving skills and attention to detail - Ability to work efficiently and meet tight deadlines Project Details: - The project involves developing and implementing VHDL designs for a specific application. - The programmer will be responsible for coding, testing, and debugging the designs. - The project needs to be completed as soon as possible, so the ability to work quickly and efficiently is crucial. If you have experience in VHDL programming and are available to start immediately, please submit your prop...

    €406 (Avg Bid)
    €406 Povprečna ponudba
    9 ponudb

    I am looking for an experienced FPGA developer to help me develop an IP core for signal processing using the Smartfusion2 FPGA. The desired functionality of the IP core is signal processing, and the required performance level is medium. Skills and Experience: - Strong knowledge and experience in FPGA development - Expertise in signal processing algorithms and techniques - Familiarity with the Smartfusion2 FPGA platform - Ability to optimize performance for medium-level requirements

    €280 (Avg Bid)
    €280 Povprečna ponudba
    2 ponudb
    labview fpga Končano left

    I am looking for a LabVIEW FPGA expert who can assist me in designing and implementing a data acquisition system using specific hardware. Hardware Requirements: - The candidate should have experience with the specific hardware that I have in mind for this project. Project Purpose: - The primary purpose of this LabVIEW FPGA project is data acquisition. Program Assistance: - I need assistance with creating the entire LabVIEW FPGA program for this project. Ideal Skills and Experience: - Proficiency in LabVIEW FPGA programming. - Experience in designing and implementing data acquisition systems. - Knowledge of the specific hardware that will be used for this project. - Strong problem-solving and troubleshooting skills. - Attention to detail and ability to meet ...

    €48 / hr (Avg Bid)
    €48 / hr Povprečna ponudba
    7 ponudb

    I need a simple circuit using common hardware parts that measures the high width of a TTL pulse and if it exceeds 3 seconds a logic output is set high as an alarm. If the pulse becomes shorter than 3 seconds the logic output is set low so alarm is turned off. A 32768Hz clock is available. Maybe a ...parts that measures the high width of a TTL pulse and if it exceeds 3 seconds a logic output is set high as an alarm. If the pulse becomes shorter than 3 seconds the logic output is set low so alarm is turned off. A 32768Hz clock is available. Maybe a 74HC series binary counter might work. Or specify your own clock generator. We want simple 74HC logic, or 4000 logic. Not MCU and not FPGA. When we choose the circuit we will build it to confirm it works before award...

    €93 (Avg Bid)
    Zagotovljen
    €93
    13 vnosov

    Project Title: NTT hardware implementation verilog I am looking for a freelancer who can help me with the implementation of a Radix-2 NTT hardware in Verilog. Requirements: - Strong experience in Verilog programming - Knowledge of Radix-2 NTT algorithm - Familiarity with cryptography and encryption techniques The ideal candidate should: - Have experience in FPGA or ASIC technology - Be able to suggest suitable FPGA or ASIC technology for the implementation - Understand the specific requirements of cryptography in the context of NTT implementation This project is focused on the implementation of a Radix-2 NTT hardware for the purpose of cryptography. If you have the necessary skills and experience, please submit your proposal.

    €163 (Avg Bid)
    €163 Povprečna ponudba
    5 ponudb

    What is the target device for the custom firmware? DMA FPGA XILINIX 7 FPGA / XC7K325T FPGA high-performance chips I am looking for an experienced freelancer to develop custom firmware for a specific DMA card model, PCILeech (Terminator Z1 specifically) + other squirrel PCILeech cards. The firmware needs to be compatible with EAC, BE, Faceit, and Vanguard anti-cheats. It has to be able to disguise my DMA device exactly like another device with the same config space and return the same buffers as the second device we are trying to emulate, basically I need it to act and look exactly like another PCIe device. Requirements and Features: - I am open to suggestions for specific requirements and features for the firmware. - The firmware should be optimized for gaming purpo...

    €341 (Avg Bid)
    €341 Povprečna ponudba
    19 ponudb

    FPGA Dma board Custom Firmware Im looking to get a firmware for my dma card that bypasses all anti cheats and is fully emulated. Details: Artix 7 fpga dma card Example (link):

    €376 (Avg Bid)
    €376 Povprečna ponudba
    14 ponudb

    FPGA Dma board Custom Firmware Im looking to get a firmware for my dma card that bypasses all anti cheats and is fully emulated. Details: Artix 7 fpga dma card Example (link):

    €851 (Avg Bid)
    €851 Povprečna ponudba
    28 ponudb

    Description: Create a Hardware-Software Codesign version of the k-mean clustering algorithm K-means clustering is a popular data mining algorithm that partitions n samples into k clusters (note: the k-nearest neighbor classifier algorithm used in machine learning can leverage the cluster centers produced by the k-means clustering algorithm). The problem is in general NP-hard but heuristic algorithms have been developed that quickly converge to a local optimum solution. We will consider one of those algorithms in this project. I have provided a C code version of the k-means clustering algorithm, and a Vivado block diagram and memory layout (explained below) that you will use as a starting point. You will need to study the C version and then decide which components to implement as a VHDL mod...

    €28 - €232
    Zapečateno Pogodba o nerazkritju informacij
    €28 - €232
    3 ponudb

    ...is experienced in implementing Ethernet on the ZCU216 Ultrascale+ Xilinx RFSoC board using Petalinux. The ideal candidate should have the following skills and experience: - Strong knowledge and experience in Ethernet protocols, such as Ethernet/IP, Ethernet TCP/IP, and Ethernet UDP - Familiarity with the ZCU216 Ultrascale+ Xilinx RFSoC board and Petalinux - Experience in implementing Ethernet on FPGA-based systems - Ability to work with constraints and specific requirements related to Ethernet implementation - Understanding of networking principles and protocols -Ability to make ppt slides of task done in an orderly step manner. I can also provide you with some prior information regarding our task and some past work done on this ,which will make it even more easier for you. Su...

    €194 (Avg Bid)
    €194 Povprečna ponudba
    6 ponudb

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    €30933 (Avg Bid)
    €30933 Povprečna ponudba
    15 ponudb

    I am looking for a skilled FPGA developer who can integrate the IMX586/Equivalent camera with the Artix 7 FPGA( XC7A35T). The desired output of this integration is both image processing and video processing. The resolution and frame rate requirements are not specific, but I have a general idea and I am open to suggestions. In addition to the integration, I would like to incorporate additional feature to overlay time, GPS, and compass data in the image/video and send that to the LSM7002M RF IC and to BL618. Additional engineer will be provided for BL618 integration Ideal skills and experience for this project include: - Proficiency in FPGA development and integration - Experience with xilinx FPGA - Knowledge of image and video processing - Ability to imple...

    €210 (Avg Bid)
    €210 Povprečna ponudba
    2 ponudb

    I am looking for a freelance developer to help me with a project involving writing to a LCD 16x2 display on a basys 1 FPGA with an i2c interface (PCF8574A). I would like the programmer to use Verilog, but I don't have experience with FPGA programming and I am open to suggestions for content and/or functionality for the display. If you have experience with FPGA programming and think you are a good fit for this project, I'd love to hear from you!

    €14 / hr (Avg Bid)
    €14 / hr Povprečna ponudba
    16 ponudb

    ***PROJECT ONLY FOR EXPERIENCED DEVS*** Hello, I am looking for skilled programmers who can program LimeSDR Mini v2.2 . The goal is to make the LSM7002M pair with other LSM7002M and exchange...other LSM7002M and exchange data in the following ways: (I) Modulation – AM, FM, 4FSK (DMR) without AES (ii) AM, FM, 4FSK (DMR) with (AES 256 bits) (iii) Narrowband Waveform (25 kHz) for voice communication (iv) Data Rate atleast 1 Mbps for transfer of text messages,image transfer etc. (v) Interfacing the FPGA with external microcontroller (BL618/Equivalent). (vi) Making the FPGA getting into sleep mode during non operation and wake up on interupt from the external microcontroller. The FPGA will receive data from the external microcontroller which is linked with micro...

    €318 (Avg Bid)
    €318 Povprečna ponudba
    5 ponudb

    I am looking for a freelancer who can design an SDI HDMI system using Xilinx and Artix FPGA Device. The project requires the following: - The desired output resolution for the SDI HDMI design is HD-SDI and 3G-SDI. - The client specifically wants to use an Artix FPGA Device for the design. - The key functionality required for the design is video processing. Ideal Skills and Experience: - Proficiency in Xilinx and FPGA design. - Experience in designing SDI HDMI systems. - Strong knowledge of video processing technologies. - Familiarity with Artix FPGA Devices. If you have the necessary skills and experience, please bid on the project.

    €439 (Avg Bid)
    €439 Povprečna ponudba
    11 ponudb

    I am looking for someone who can provide me with an FPGA project in Verilog within a day, which should include the use of peripherals. Here are the details: Specific Peripherals: - UART - SPI - I2C Requirements and Constraints: - No specific requirements or constraints for the FPGA project Target Application: - Any application, such as data processing, signal processing, or control systems Ideal Skills and Experience: - Proficiency in Verilog and FPGA development - Experience with integrating peripherals into FPGA projects - Knowledge of UART, SPI, and I2C protocols If you have a Verilog FPGA project that includes the use of peripherals, please reach out to me. Thank you!

    €49 (Avg Bid)
    €49 Povprečna ponudba
    11 ponudb

    I am in need of an intermediate FPGA VHDL designer who can assist me with designing a small module. This project requires someone with experience and expertise in VHDL programming for FPGAs. Skills and Experience: - Proficiency in VHDL programming for FPGAs - Experience with designing small modules - Strong understanding of FPGA architecture and design principles The project has a tight deadline, with completion expected within the next 1-2 weeks. Therefore, it is important for the freelancer to be able to work efficiently and deliver high-quality work within this timeframe. If you have the necessary skills and experience in FPGA VHDL design, and are able to meet the project requirements within the specified timeframe, I encourage you to apply for this project.

    €150 (Avg Bid)
    €150 Povprečna ponudba
    20 ponudb

    I am looking for someone to program an FPGA to execute a maximum ratio combining algorithm. The input signal format I need is digital, so there will be no analog signal involved. I don’t have specific FPGA model in mind, so I am open to any model that can work well with this project. I need the project to lead to a prototype outcome so I have a working model to then be able to make other changes as needed.

    €502 (Avg Bid)
    €502 Povprečna ponudba
    12 ponudb

    I'm looking for an experienced engineer to reprogram my Intel Cyclone V FPGA with existing code to enable it to perform signal processing functions. The code itself is already written and I'd like the engineer to take that code and use it to program my FPGA. This project requires an in-depth knowledge of FPGA technology and experience with the reprogramming process. I'm confident that the right person can complete this task effectively and efficiently. If you believe you are up to the challenge, I would love to hear from you and discuss the details of the project.

    €54 / hr (Avg Bid)
    Pogodba o nerazkritju informacij
    €54 / hr Povprečna ponudba
    5 ponudb
    program FPGA Končano left

    I am looking for someone with a programmer to program an Actel APA300PQ208I FPGA. I have the program but do not have the required programmer. If you have the correct programmer and are familiar with this device, I look forward to viewing your proposal.

    €470 (Avg Bid)
    €470 Povprečna ponudba
    15 ponudb

    High performance Digital Filter design . 1. VLSI implementation of Filter architecture. 2. Implementation of Filter architecture for all DSP functions on FPGA 3. Enhance the speed of Filter architecture using different technique and implementation on FPGA. 4 Reduce complexity, area, power consumption of filter architecture and implement on FPGA. 5 Minimum utilization of Look up Table, Flip Flops, slices etc for FPGA design of filter. 6) IP diagram 7) RTL design & Wave form for same 8) Fault Findings ( if possible and if any ) 9) If any other parameters which you can add to demonstrate results

    €514 (Avg Bid)
    €514 Povprečna ponudba
    12 ponudb

    I am looking to hire a freelancer to design an FPGA function generator using a Altera MAX 10 FPGA, 10M08SAE144C8G that produces a frequency of 10 MHz and above. The desired waveforms are sine, square, and triangle. This function generator should also have a single channel. If you think you have the skills to help me with this project, feel free to bid on it. Thank you!

    €104 (Avg Bid)
    €104 Povprečna ponudba
    5 ponudb

    I need help with the implementation of SHA 512 on an FPGA platform. I prefer to use the Xilinx platform, and I would like the programming language to be Verilog. I need the project to be completed in 2-3 days. I know this is a short timeline but I'm confident that with the right expert, it can be done. Please let me know if you have any questions or require more information.

    €387 (Avg Bid)
    €387 Povprečna ponudba
    5 ponudb

    Create a simple yet effective Smart Home Energy Monitor using your expertise in electrical engineering and FPGA/VHDL. This project aims to help working individuals monitor and optimize their home energy consumption. It can be both fun and practical.

    €97 (Avg Bid)
    €97 Povprečna ponudba
    7 ponudb

    ...ASR 1004 rp2 Software and Romon,cpld,fpga Update Description: I am looking for a skilled professional who can assist me in updating the software and components of my Cisco ASR 1004 rp2 device. The main focus of this project is to update the software, Romon, cpld, and fpga. Current Software Version: I am not sure, please check for me. Specific Features/Fixes: I am not sure, please recommend. I am open to suggestions on the latest version and any specific features or fixes that would enhance the performance and functionality of my device. Backup: Yes, I have a backup of my current configuration and data. Ideal Skills and Experience: - Strong knowledge and experience with Cisco ASR 1004 rp2 devices - Expertise in updating software, Romon, cpld, and fpga componen...

    €129 (Avg Bid)
    €129 Povprečna ponudba
    8 ponudb

    I am looking for a skilled FPGA designer with experience in Xilinx Zynq7010 EBAZ Verilog to develop a permutation enumeration counter. The counter should have the following functionality and requirements: Functionality: - The counter should be able to increment indefinitely. - The counter shall initialize to the starting position on reset. - The counter shall toggle a minimum number of bits, like Grey Code. Requirements: - The project should be implemented using Verilog for Xilinx Zynq7010 EBAZ board. The Verilog function shall be parameterized PermCtr(K, N) - The counter should be designed to efficiently handle permutation enumeration. - The design should be optimized for performance and resource utilization. - If possible, I would like the counter to only enumerate the space be...

    €177 (Avg Bid)
    €177 Povprečna ponudba
    15 ponudb

    We are currectly looking for support to write a simple motor model in VHDL, that will be used to test our motor controller in FPGA. The motor model shall be as simple as possible and an equivalent Matlab/Octave/Python/C/C++ model would be desiderable and used to validate the VHDL model

    €178 (Avg Bid)
    €178 Povprečna ponudba
    11 ponudb

    Project Title: Accelerometer control Platform: FPGA Skills and Experience: - Proficiency in working with FPGA platforms - Strong understanding of accelerometer technology and control - Previous experience in developing projects using accelerometers Project Requirements: - Develop an accelerometer control system using an FPGA platform - The main function of the system should be orientation detection - Successful freelancers should include their past work showcasing their experience in working with accelerometers - Detailed project proposals are not necessary, but a clear understanding of the project requirements and the ability to meet deadlines is crucial Deliverables: - A fully functional accelerometer control system that accurately detects orientation - Documenta...

    €123 (Avg Bid)
    €123 Povprečna ponudba
    7 ponudb

    I am looking for a skilled freelancer to implement the JESD protocol on an FPGA device. The desired data rate for the implementation is 1 Gbps. Skills and Experience: - Experience in implementing the JESD protocol - Proficiency in FPGA development - Knowledge of high-speed data transfer and communication protocols Project Timeline: - The deadline for this project is within 1-2 weeks. Please provide examples of previous work and relevant experience when submitting your proposal.

    €21986 (Avg Bid)
    €21986 Povprečna ponudba
    2 ponudb

    I am looking to write and read memory from an EEPROM with a FPGA. The desired size for the EEPROM is 32kb and I need to use I2C as the communication protocol. I have a specified model for the FPGA, Cyclone IV. The project should also include the development of software code for the FPGA to control the EEPROM operations.

    €22 (Avg Bid)
    €22 Povprečna ponudba
    7 ponudb

    I am in need of an expert with FPGA Verilog/VHDL experience in Xilinx. The project requires advanced programming skills in Verilog/VHDL and must be completed within a week. You should have a Xilinx board to work on this project. Tasks: - Programming in Verilog/VHDL Ideal Skills and Experience: - Expertise in FPGA Verilog/VHDL - Strong knowledge of Xilinx - Advanced programming skills in Verilog/VHDL Deadline: - Within a week

    €159 (Avg Bid)
    €159 Povprečna ponudba
    11 ponudb

    I have an FPGA carrier board and I am interested in someone to review it and make any suggestions for improvement. This is a carrier board for an Alinx FPGA core board. It is basically a version of Alinx's carrier board with all the parts I don't need removed. The board has 10G Ethernet via an SFP, Gigabit copper Ethernet, USB 2.0, and a few minor things. I developed this board because I need to generate the SFP clock differently, and the off-the-shelf board cannot accommodate the change. I stuck closely to Alinx's design for maximum compatibility with our current usage of the Alinx board, so this request concerns not the parts but the PCB layout. It is a 4-layer design in KiCad. I tried to take care with impedance and layout, but a more experience set of eyes...

    €135 (Avg Bid)
    €135 Povprečna ponudba
    14 ponudb

    I am seeking an experienced professional to help with a project involving Xilinx FPGA development. I am using the Xilinx Zynq-7000 board and the main function of the board in my project is to process data. I need help with both hardware design and software development for this project. The qualified candidate should have significant expertise in hardware design and software development for FPGA systems. Excellent knowledge of C/C++ and VHDL for FPGA design/programming is also necessary. The candidate should be able to understand and utilize various types of FPGA peripherals and interfaces including, but not limited to, SPI, I2C, UART and Ethernet. Working knowledge of the Zynq-7000 series FPGA board and its associated software/tools (e.g. the Xilinx Vivad...

    €14756 (Avg Bid)
    €14756 Povprečna ponudba
    13 ponudb

    I want to implement the Ethernet connection between FPGA board to PC. The deliverables are as follows - Verilog code to run on a Spartan 6 Board - (xc6slx100) - Simulation time diagrams (more details will be given to the winner) - The code should be able to transmit and receive data at 1000mbs.

    €344 (Avg Bid)
    €344 Povprečna ponudba
    15 ponudb

    - A report of minimum 6 double-column pages for the case study It should follow the IEEE Template (). - Select a research problem in FPGA - Do a literature review. - Perform implementation of at least one paper (if any) - Discuss the available solutions to the problem - Propose a novel solution to the problem (if any)

    €58 (Avg Bid)
    €58 Povprečna ponudba
    8 ponudb

    Project Description: Can you help me with a mini spectrum analyzer using FPGA the results will be on a pc screen with GUI that the user can put markers on and change the resolution, to make a spectrum analyzer with FFT algorithm on FPGA Using UI the user can control the span a put markers. The user will be able to change parameters in a user interface. The ADC is the sampling. The FPGA is going to calculate the FFT. The all idea is to mini spectrum analyzer (the measurement device). Block chain 1. ADC for sampling 2. FPGA to calculate the FFT and sent it to the pc display

    €148 (Avg Bid)
    €148 Povprečna ponudba
    7 ponudb

    Project Title: FPGA Xilinx Spartan 7 for Digital Signal Processing Application Skills and Experience: - Proficiency in FPGA development using Xilinx Spartan 7 - Strong background in digital signal processing - Experience in writing HDL code from scratch - Familiarity with FPGA design tools and methodologies Project Description: We are looking for a skilled FPGA developer with expertise in Xilinx Spartan 7 to assist us with a digital signal processing project. The main application of the FPGA will be digital signal processing, specifically in the area of [insert specific application]. Requirements: - Develop FPGA design using Xilinx Spartan 7 for digital signal processing application - Write HDL code from scratch based on project requirements - I...

    €1185 (Avg Bid)
    €1185 Povprečna ponudba
    13 ponudb

    My experience with deploying Caffe networks on FPGA boards is intermediate. I have prior experience with the Lattice Radiant software so I am ready to use it for this project. My specific task or outcome I want to achieve with this deployment is Image Classification using the ICE40UP5K FPGA with the iCE40 UltraPlus Breakout Board and Lattice Radiant software.

    €4 / hr (Avg Bid)
    €4 / hr Povprečna ponudba
    3 ponudb

    My experience with deploying Caffe networks on FPGA boards is intermediate. I have prior experience with the Lattice Radiant software so I am ready to use it for this project. My specific task or outcome I want to achieve with this deployment is Image Classification using the ICE40UP5K FPGA with the iCE40 UltraPlus Breakout Board and Lattice Radiant software. Details: 1, I already built a caffe network, just need to deploy it 2, the input of the caffe network is supposed to be an image but right now the input of the caffe network are 32x32 matrix and output will be 1 or 0. I want to test that with deploy the model on ICE40UP5K 3, I will provide the network file, and the final result will be a project file and can use my caffe network, have hardcode a 32x32 matrix and output ...

    €164 (Avg Bid)
    €164 Povprečna ponudba
    4 ponudb
    fpga programming Končano left

    My project is about FPGA programming for control systems. I'm using the Altera Cyclone V board and the preferred programming language is Verilog. This project requires someone with experience in FPGA programming and the design of embedded systems. The programmer should be able to develop design flows for FPGA devices, debug them and modify existing designs for better performance. The knowledge of hardware description languages such as VHDL and Verilog is crucial, as they will be used for implementation and testing of the designs. Additionally, some knowledge of microcontrollers and communication protocols will be required. The right person for this job should have strong problem-solving skills, excellent coding and debugging capabilities, and a deep understanding ...

    €155 (Avg Bid)
    €155 Povprečna ponudba
    22 ponudb

    I am in need of an experienced and professional digital circuit designer to undertake a project involving Verilog coding, RTL verification, and FPGA implementation. Specifically, I need the Verilog coding to be at the intermediate level and it must meet specific requirements. The scope of this project is just Verilog coding running though Xilinx Vivado IDE. The successful applicant must have a good understanding of design flows to be implemented in Verilog, including synthesis and simulation techniques, as well as a thorough knowledge of all aspects of Verilog coding and digital circuit design. Experience with RTL verification and FPGA implementation will also be beneficial for this role. Ultimately, I am seeking an individual who is able to accurately analyze the specificati...

    €99 (Avg Bid)
    €99 Povprečna ponudba
    18 ponudb
    Ironfish miner help Končano left

    I am looking for someone to help me with the installation and setup of the Ironfish miner on my Windows operating system. I will use FPGA or GPU solution of teamredminer. As a beginner in cryptocurrency mining, I am in need of assistance from someone with experience in this field. Some of the skills and experience ideal for this job include: - Proficiency in installing and setting up mining software - Knowledge of the Ironfish miner and its specific requirements - Understanding of Windows operating system and its compatibility with mining software - Patience and ability to explain the process to a beginner in a clear and concise manner - Troubleshooting and debugging skills to address any issues that may arise during the installation and setup process If you have previous experien...

    €21 / hr (Avg Bid)
    €21 / hr Povprečna ponudba
    9 ponudb

    Top fpga člankov Skupnosti